1. Tuyển Mod quản lý diễn đàn. Các thành viên xem chi tiết tại đây

Electronic Design Methodologies

Chủ đề trong 'Điện - Điện tử - Viễn thông' bởi huttid, 12/06/2002.

  1. 0 người đang xem box này (Thành viên: 0, Khách: 0)
  1. huttid

    huttid Thành viên mới

    Tham gia ngày:
    09/04/2002
    Bài viết:
    72
    Đã được thích:
    0
    Đây là một thông tin rất được quan tâm về thị trường TQ. Chính phủ TQ hiện đang đầu tư nhiều triệu dollar vào sự phát triển của công nghệ chế tạo phần cứng, cái mà sẽ đem lại một nền tảng công nghệ không phụ thuộc vào bên ngoài. Tham vọng của TQ là không chỉ cạnh tranh với Ấn Độ về xuất khẩu phần mềm, mà còn cạnh tranh với Nhật Bản và Hàn Quốc về sản phẩm điện tử, hòng tạo ra một nền công nghệ IT hoàn chỉnh và cân bằng (Hardware and Software), sánh ngang với Mỹ chăng?Một công ty công nghệ của Mỹ, Cadence Design Systems thông báo rằng họ liên doanh với một công ty của TQ để thành lập một viện chuyên đào tạo những kỹ sư (đã tốt nghiệp) về thiết kế điện tử (electronic design), tên là Zhongguancun-Cadence Institute of Software Technology. Viện này sẽ tuyển sinh vào khoảng tháng 10 năm nay, dự định sẽ đào tào khoá đầu tiên là 300 kỹ sư và sẽ đào tạo khoảng 1,000 kỹ sư/1 năm sau 5 năm nữa. Đây là công ty công nghệ đầu tiên của Mỹ tham gia vào lĩnh vực này.TQ được đánh giá là một thị trường tiềm năng về công nghiệp bán dẫn, mức tăng trưởng rất cao. Chất lượng đào tạo tại các trường ĐH, viện được đánh giá cao và bài bản. Một thông tin cá nhân, đó là mặc dù TQ đang nỗ lực thúc đẩy nền công nghệ điện tử phát triển, nhưng mới có số ít các nhà máy bán dẫn đã được xây dựng. Các giải pháp mới ở mức nhỏ và tập trung chủ yếu vào sản xuất chip FPGA. Các công ty công nghệ của Nhật Bản cũng muốn bán các giải pháp về ASIC cho TQ, nhưng một phần là giá của các giải pháp này quá đắt và thưc tế là các giải pháp về ASIC chưa được cần đến tại đất nước này.
    Đối với nước ta, một điều rất đáng tiếc đó là các ngành Điện-Điện Tử của nước ta có lẽ không theo kịp với sự phát triển của thế giới, có lẽ là quá lạc hậu rổi thì phải. Một phần không nhỏ các sinh viên và kỹ sư ngành này không mặn mà lắm với thiết kế mạch. Đúng như từ "thiết kế mạch", để thiết kế mạch như hiện nay, có lẽ không bao giờ chúng ta có thể tạo ra một mạch có đến 1000 registers, có chăng chỉ là sự "lắp ráp" các linh kiện lại với nhau. Rất nhiều bạn trong box này biết cách phương pháp thiết kế mạch số, mong các bạn hãy nêu ra và trao đổi ra ở đây để mọi người cùng học hỏi nhé.
    Chúc vui.
    * Cadence Design Systems là một trong những nhà cung cấp lớn nhất các dịch vụ về công nghệ thiết kế mạch điện tử. Các giải pháp của họ bao gồm: semiconductors, computer systems, networking and telecommunication equipment, ... Văn phòng chính của Cadence đặt tại Jose Calif, USA.

    huttid-,??き

    Được sửa chữa bởi - huttid vào 13/06/2002 11:21
  2. KhuongDuy

    KhuongDuy Thành viên mới

    Tham gia ngày:
    15/02/2002
    Bài viết:
    68
    Đã được thích:
    0
    Giới thiệu 1 chút về thiết kế mạch IC . 1 con chip IC có các cỡ chuẩn sau
    - 2.4mm x 2.4 mm 60 pins
    - 4.9mm x 4.9 mm 144 pins
    - 9.8mm x 9.8 mm 208 pins
    Để thiết kế các chip như vầy , mỗi chip có khoảng 1 triệu transistor thì làm mạch bằng tay là điều không thực hiện được . Hiện tại , để thiết kế mạch IC , người ta dùng các ngôn ngữ thiết kế phần cứng như VHDL hay Verilog để thiết kế . Ví dụ như mạch đếm sẽ được biểu diễn như sau trong VHDL .
    entity COUNTER_4 is port ( CLK , RESET : in std_logic;
    Y : out std_logic_vector(1 downto 0));
    ------ Mạch đếm 4 ( 2bit) . Input là clock và reset , output là số đếm .
    end COUNTER_4;
    architecture COUNTER_BEHAVIOR of COUNTER_4 is
    begin
    process( RESET , CLK) begin
    if ( RESET = '1') then Y<="00"; -- Nếu có tín hiệu reset thì out = 0
    elsif ( CLK'event and CLK ='0') then
    if ( Y = "11") then Y<="00";
    else Y<=Y+"01"; -- Mỗi clock thì tăng 1
    end if;
    end if;
    end process;
    end COUNTER_BEHAVIOR;
    Sau khi thiết kế toàn bộ chip bằng ngôn ngữ trên , người ta dùng compiler để chuyển qua binary . File binary này sẽ được nhập vào robot làm chip ở nhà máy và tạo ra con chip . Như vậy thiết kế phần cứng hiện đại không còn là cầm mỏ hàn nối mạch nữa mà đang tiến gần tới chu trình thiết kế phần mềm .
  3. huttid

    huttid Thành viên mới

    Tham gia ngày:
    09/04/2002
    Bài viết:
    72
    Đã được thích:
    0
    Đính chính một chút về bài viết của bạn KhuongDuy, IC nói chung không hẳn gọi là có chuẩn nào quy định chặt chẽ về size hoặc pins như vậy cả, rõ ràng là càng ngày càng nhiều các vi IC rất nhỏ và số chân thì có thể nhiều hơn 500 pins. Tuy nhiên ở một số hệ thống mở (Open Interface System) thì có quy định về các IC interface: như pins (số lượng, khoảng cách ...), size, ... và các thông số kỹ thuật khác.
    huttid-?,???き
  4. KhuongDuy

    KhuongDuy Thành viên mới

    Tham gia ngày:
    15/02/2002
    Bài viết:
    68
    Đã được thích:
    0
    Cảm ơn bác hutid đã sửa chữa dùm , chuẩn trên là chuẩn của nhà máy nhận làm chip của lab tôi mà thôi .
  5. Quen_mang_kinh

    Quen_mang_kinh Thành viên quen thuộc

    Tham gia ngày:
    23/05/2002
    Bài viết:
    542
    Đã được thích:
    1
    Ngon ngu VHDL va Verilog la nhung lanh vuc rat hay chi tiec la neu di chuyen ve cai day ra truong se lam viec o dau.
    Asus va mot so cong ty khac da dat nha may tai VN nhung nhan luc VN da du trinh do de thiet ke mainboard hay sound card chua? Chac phai doi qua...
    Neu dan khong danh phai dung ROM hoac PLA de thiet ke cac vi mach chuc nang chuyen biet vay.
  6. trungk43

    trungk43 Thành viên mới

    Tham gia ngày:
    03/07/2001
    Bài viết:
    59
    Đã được thích:
    0
    Các anh có compiler hay simulator không?
    Các anh có biết mua link kiện ở đâu không?
    Em đang chuẩn bị làm đồ án nên rất cần. Xin cám ơn
  7. huttid

    huttid Thành viên mới

    Tham gia ngày:
    09/04/2002
    Bài viết:
    72
    Đã được thích:
    0
    Tôi không đồng ý với quan điểm của bạn này. Nếu ai cũng nghĩ như bạn thì trái đất của chúng ta sẽ mãi mãi dậm chân ở thời điểm cách đây hàng trăm triệu năm.
    Một điểm nữa, đó là không một cá nhân nào "có đủ sức thiết kế Mainboard hay Sound Card" cả, hay một sản phẩm công nghệ khác đại loại như vậy. Đó là việc làm của cả một tập thể, là kết quả của sự nghiên cứu nghiêm túc và ứng dụng các công cụ công nghệ hiện đại. Bản thân ở các nước tiên tiến như Nhật Bản, Mỹ, hay các nước đang mon men về công nghệ này như Trung Quốc, tất cả các sinh viên chỉ được đào tạo gần tương tự như các sinh viên của chúng ta thôi. Sau khi ra trường và vào làm việc tại một công ty nào đó, thì họ mới được đào tạo để làm việc và cùng tạo ra các thành phẩm dựa trên công nghệ của từng công ty.
    Tại Ấn Độ, đừng tưởng rằng họ chỉ xuất khẩu đơn thuần Software, họ cũng nhận "gia công về phần cứng". "Gia công" ở đây là thiết kế Dataflow, coding VHDL, Simulation và Timing Analysis. Những dự án này thường là với mức phí rất cao, có lẽ còn cao hơn nhiều lần so với Soft đơn thuần. Trung Quốc cũng đang thúc đẩy rất mạnh về lĩnh vực này, như tôi đã đề cập ở trên.
    Nói như vậy là mong bạn nào có sở thích học tập về vấn đề thiết kế mạch tiên tiến này, hãy tự tin rằng các bạn đang đi đúng hướng.
    Chúc thành công.
    huttid-?,???き
  8. huttid

    huttid Thành viên mới

    Tham gia ngày:
    09/04/2002
    Bài viết:
    72
    Đã được thích:
    0
    *Compiler: Giả sử một techonlogy bao gồm các phần tử cơ bản (hay gọi là các Gate cơ bản) A0, A1, ..., An. Như vậy một mạch số sẽ là sự kết nối (wire) của các phần tử cơ bản: M0 phần tử A0, M1 phần tử A1, ... và Mn phần tử An, thuật ngữ gọi là netlist, hay GateLevel. Như vậy một bộ VHDL compiler là dịch ngôn ngữ VHDL high-level (behaviour, ví dụ như đoạn mã của bạn KhuongDuy) thành GateLevel.
    VHDL compiler thì lại được phân loại thành 2 dạng, dựa trên công nghệ chế tạo FPGA và ASIC: FPGA Compiler và ASIC Compiler.
    - FPGA Compiler: Mạch FPGA có đặc tính là phụ thuộc vào Vendor, nên bộ GPGA Compiler này thường đi kèm với những bộ Tool thiết kế và thiết bị mà các Vendor này cung cấp.
    FPGA Compiler nổi tiếng gồm có: Synopsys, Mentor Graphic, Xilinx, Actel, Aldec (cho download trên Web) ...
    - ASIC Compiler: Mạch ASIC được sản xuất ở nhà máy bán dẫn thường với số lượng lớn.
    ASIC Compiler nổi tiếng gồm có: Synopsys, Cadence, Mentor Graphic, ...
    Ai có các bộ này thì cho biết nhé.
    * Simulator hay Simulation? Nếu là Simulation thì nổi tiếng nhất là của Mentor Graphic: ModelSim (www.model.com), cái này thường được tích hợp vào các bộ Tools của FPGA vendor
    * Linh kiện thì bạn không nên mua, vì đắt và không có ở VN.
    (Tôi vội quá, viết nhanh, mong các bạn thông cảm ...)
    Chúc vui.

    * FPGA: Field Programmable Gate Array
    * ASIC: Application Specific IC

    huttid-?,???き
  9. tula131@yahoo.com

    tula131@yahoo.com Thành viên quen thuộc

    Tham gia ngày:
    23/02/2002
    Bài viết:
    122
    Đã được thích:
    0
    Chào bác Huttid !
    (Thấy bác cũng tham gia luận bàn ở TTVN em rất vui và mong đc học hỏi bác nhiều nhiều !)
    Các quả là những chuyên gia về lĩnh vực này !
    Hình như VHDL đã hoặc sắp có phiên bản mới UHDL thì phải ? Và các bác cho em hỏi đánh giá của các bác về các các phần mềm vẽ, thiết kế mạch như Matlab, Ewb, Circuit Maker, Protel, .... cũng như tài liệu học để có thể sử dụng các công cụ này một cách tốt nhất !
    *.tus
  10. trungvuong

    trungvuong Thành viên mới

    Tham gia ngày:
    25/03/2001
    Bài viết:
    13
    Đã được thích:
    0
    Bây giờ mình mới vào đây nên mới biết co nhiều người quan tâm đên Digital Design. Mình hiện đang co 2 chương trình là Active-HDL 3.6 va ModelSim 5.5e. Nếu bạn nào quan tâm thi gửi mail cho mình.
    Nếu các bạn có tài liệu, links nào liên quan đên lĩnh vực này thi post lên cho mọi người cùng tham khảo được không?
    I love Picachou

Chia sẻ trang này